ARM Unveils Cortex-A9 Processors For Scalable Performance and Low-Power Designs
First fully synthesizable single and multicore processors capable of delivering more than 8000 aggregate DMIPS of performance and mobile-level power efficiency to a wide range of applications
CAMBRIDGE, UK – Oct. 3, 2007 - ARM today launched its new Cortex™-A9 processors at the fourth annual ARM® Developers’ Conference, in Santa Clara, California. The ARM Cortex-A9 MPCore™ multicore processor and ARM Cortex-A9 single core processor deliver unprecedented performance within tight power constraints for innovative devices that deliver superior overall functionality, such as smartphones, connected mobile computers, consumer electronics, automotive infotainment, networking and other embedded and enterprise devices.
ARM also announced today that several Partners have already selected the Cortex-A9 processors, including NEC Electronics, NVIDIA, Samsung, STMicroelectronics and Texas Instruments.
The new Cortex-A9 processors are compatible with other Cortex family processors and the popular ARM MPCore technology, thereby inheriting a rich ecosystem of OS/RTOS, middleware and applications to lower the costs associated with adopting a new processor.
The Cortex-A9 processors deliver highly scalable and power-efficient solutions by leveraging for the first time key microarchitectural advances. Utilizing a dynamic length, 8-stage superscalar, multi-issue pipeline with speculative out-of-order execution, it is capable of executing up to four instructions per cycle in devices clocked at more than 1GHz while also providing reductions in the cost and inefficiencies of today’s leading 8-stage processors.
ARM MPCore Technology
The widely-adopted ARM MPCore technology increases performance scalability and control over power consumption to exceed the performance of today’s comparable high-performance devices while remaining within the tight mobile power constraints. To date, ARM MPCore technology has been licensed by more than ten companies including NEC Electronics, NVIDIA, Renesas Technology and Sarnoff Corporation and has been in silicon production since 2005.
The Cortex-A9 MPCore multicore processor was developed to deliver the next generation of the MPCore technology to a breadth of new application markets by further optimizing and extending this technology. In addition, to simplify and broaden the adoption of multicore solutions, the Cortex-A9 MPCore processor supports system-level coherence with accelerators and DMA to further increase performance and reduce power consumption at the system level.
The Cortex-A9 single core processor was developed for simplified design migration and reduced time-to-market of high-performance, cost-sensitive applications such as feature phones and other low cost embedded devices. Each processor is available with ARM Advantage™ standard cells and memories for a traditional and convenient synthesizable flow and provides increased levels of power efficiency within a similar silicon cost and power budgets to the previous ARM11 family processors.
“ARM continues to raise the bar in performance for mobile and battery operated applications with its Cortex family by introducing the ARM Cortex-A9 microprocessors,” said Mario Morales, Vice President, Semiconductors at market research firm IDC. “The new processor options include multicore support for the Cortex family, which we expect will help extend the range in performance and power to address requirements by OEMs for next generation devices in terms of scale and features. By focusing on system level performance and lower power, the ARM Cortex-A9 processors can support the growing demand for multicore architectures that are enabling converged services and devices.”
“ARM technology remains at the heart of breakthrough products designed to meet growing consumer requirements in a broad section of applications including smartphones, connected mobile computers and consumer electronics,” said Mike Inglis, EVP, Sales and Marketing, ARM. “In 2005 we introduced the highly successful Cortex-A8 processor which is being used by multiple Partners to support a diverse mix of innovative end products starting in 2007/8. In 2007, ARM takes a further step forward by introducing the Cortex-A9 processors. These will allow further innovation with efficient, cost-sensitive uniprocessor and high-performance multicore implementations for end equipment in the 2009/10 timeframe. This new technology enables ARM Partners to continue to maintain leadership in multiple application areas including the emerging connected mobile computing market”
CORTEX-A9 PROCESSOR BENEFITS
The Cortex-A9 processors are the first synthesizable ARM processors capable of delivering more than 8000 aggregate DMIPS for demanding high performance, consumer and enterprise applications while also providing a significant performance increase over today’s handsets when implemented within the very tight 250mW mobile power budget. For 2000 DMIPS of performance when designed in a TSMC 65 nanometer (nm) generic process the core logic costs less than 1.5 mm2 of silicon. This scalable performance from 2000-8000 DMIPs is 4-16x what is found in today’s high end phones or set top boxes and will allow end users to instantly view complex, multimedia enabled web pages and make the most of Web 2.0 applications, enjoy photorealistic graphics and gaming, open complex attachments quickly or edit media files.
The Cortex-A9 MPCore processor is the first ARM processor to combine the Cortex application class architecture with multiprocessing capabilities for scalable performance and provides enhanced multicore technology that includes:
- Accelerator Coherence Port (ACP) for increased system performance and lower system power
- Advanced Bus Interface Unit for low latency in high bandwidth devices
- Multicore TrustZone® technology with interrupt virtualization to enable hardware based security and enhanced paravirtualization solutions
- Generalized Interrupt Controller (GIC) for software portability and optimized multicore communication
During the development of the multicore benchmarking framework developed by the industry-led Embedded Microprocessor Benchmark Consortium (EEMBC), the Cortex-A9 MPCore multicore processor demonstrated near linear scalability in a variety of benchmarks, with additional processor units providing up to four times the performance of a comparable single core processor.
COMPLETE SYSTEM SOLUTION
Both ARM Cortex-A9 processors include the ARM application specific architecture extensions, including DSP and SIMD extensions and Jazelle®, TrustZone, and Intelligent Energy Manager (IEM™) technologies. In addition, ARM has developed a full range of supporting technology around the new processor to reduce design time and accelerate time-to-market. This complete system solution comprises:
- Floating-Point Unit (FPU): The Cortex-A9 FPU provides high-performance single and double precision floating-point instructions.
- Media Processing: The Cortex-A9 NEON Media Processing Engine (MPE) offers the performance and functionality of the Cortex-A9 FPU plus the ARM NEON advanced SIMD instruction set first introduced with the Cortex-A8 processor for accelerated media and signal processing functions.
- Physical IP: Providing a wide range of standard cell libraries and memories required for low-power and high-performance implementations on a Cortex-A9 processor. The standard cells include power management kits that enable dynamic and leakage power saving techniques such as clock gating, multi-voltage islands and power gating. The memory compilers are also offered with advanced power-saving features.
- Fabric IP: The Cortex-A9 processor is supported by a comprehensive set of PrimeCell® fabric IP components including a dynamic memory controller, a static memory controller, an AMBA® 3 AXI configurable interconnect and an optimized L2 Cache Controller to match the performance and throughput capability of the Cortex-A9 processors in high frequency designs.
- Graphics Acceleration: the combination of ARM Mali™ graphics processing units with the Cortex-A9 processor will enable SoC Partners to create highly integrated system level solutions, bringing optimal size, performance and system bandwidth benefits.
- System Design: The ARM RealView® SoC Designer tool provides rapid architectural optimization and performance analysis and enables early development of software drivers and timing critical code long before hardware is available. The RealView System Generator tool offers ultra-fast modeling capability for deployment of Cortex-A9 processor-based virtual platforms. Cycle based and programmers’ view models of the Cortex-A9 processor, for use in RealView tools, will be available in 2Q 2008.
- Debug: The ARM CoreSight™ on-chip technology speeds complex debug and reduces time-to-market. The Program Trace Macrocell technology enables program-flow trace capabilities for full visibility into the processor’s instruction flow, and implements the ARMv7 architecture-compliant debug interface to enable tools standardization and higher debug performance. The CoreSight design kit for the Cortex-A9 processor extends the debug and trace capability to cover the entire system-on-chip including multiple ARM processors, DSPs, and intelligent peripherals.
- Software Development: The ARM RealView Development Suite includes advanced code generation tools that deliver exceptional performance and unmatched code density for the Cortex-A9 processors. The tools also support vectorizing compilation for the NEON media and signal processing extensions, enabling developers to achieve product and project cost reductions through the elimination of separate DSPs. Cortex-A9 MPCore multicore processor debug including advanced cross triggering is supported by the RealView ICE and Trace products. The Cortex-A9 processor is also supported by a range of hardware development boards supporting system prototyping in FPGA and software development.
Availability
The ARM Cortex-A9 single core and ARM Cortex-A9 MPCore processors are available for licensing now along with supporting technology.
About ARM
ARM designs the technology that lies at the heart of advanced digital products, from mobile, home and enterprise solutions to embedded and emerging applications. ARM’s comprehensive product offering includes 16/32-bit RISC microprocessors, data engines, graphics processors, digital libraries, embedded memories, peripherals, software and development tools, as well as analog functions and high-speed connectivity products. Combined with the company’s broad Partner community, they provide a total system solution that offers a fast, reliable path to market for leading electronics companies. More information on ARM is available at http://www.arm.com.
NEC Electronics
“NEC Electronics has partnered with ARM for many years to bring numerous ARM7, ARM9, and ARM11 processor-based solutions to our customers, and we have been continuously impressed with ARM's ability to push the boundaries of this technology,” said Masao Fukuma, senior vice president, NEC Electronics. “In 2003 ARM and NEC Electronics established a strategic partnership to jointly develop the ARM11 MPCore processor, which has now been successfully implemented in some of NEC Electronics' most advanced performance and low power technology solutions, such as our new NaviEngine automotive multimedia SoC. We are excited to extend our collaboration with ARM to their new Cortex-A9 MPCore multicore processor, which will improve total processor performance while keeping designs simple, taking home, automotive multimedia and mobile applications to the next level.”
Samsung Electronics
“Over the years Samsung has been staying on the competitive edge in licensing from ARM the most advanced mobile technology on the market to support its customer base,” said Yiwan Wong, Vice President of Marketing, System LSI Division, Semiconductor Business, Samsung Electronics. “With the potential growth of the connected mobile computing marketing segment in sight, we believe that ARM’s new multiprocessing solution, the Cortex-A9 processors, will give us the advantage we need to stay ahead and designed-in the most cutting edge, trend setting devices on the market. We expect the flexibility and low power of ARM’s Cortex-A9 MPCore processor to enable new devices to truly deliver a superior, always-on, mobile computing experience.”
NVIDIA
"The mobile phone is rapidly becoming our most personal computer," said Michael Rayfield, general manager of NVIDIA's mobile business. "Utilizing ARM's Cortex-A9 multi-core processor technology, we will deliver innovative and feature-rich, low-power applications processors that demonstrate the company's passion for driving this mobile computing revolution."
STMicroelectronics
The ARM Cortex-A9 processor has been selected by ST to be included in its extensive mobile technology portfolio and to be deployed in a wide range of personal multimedia products. “The next wave of mobile phones and portable multimedia devices will continue to extend the end-user experience through exciting new features that demand superior processing power to manage media such as web content, mobile games, video-on-the-go, map services and digital-still-camera-class imaging,” said Jyrki Hannikainen, Application Processor Division general manager, STMicroelectronics. “By combining the ARM Cortex-A9 processor with ST’s leading-edge mobile multimedia platform, ST will continue to deliver compelling high-performance and low-power chipsets and platforms to the market.”
Texas Instruments
“TI is pleased to announce licensing of ARM's new Cortex-A9 processors, extending our 15 year relationship as a lead partner with ARM. As the market advances, there will be a need for a higher-performance, synthesizable core, as well as a multiprocessing option that delivers scalability of performance and power – all critical factors for TI and our customers," said Mark Denissen, Vice President, Strategic Marketing, Texas Instruments. "TI uses multi-core technology today to meet performance demands of new devices and with the introduction of Cortex-A9 MPCore continues to advance this roadmap. ARM’s newest technology coupled with TI’s multi-core expertise will give our customers access to the right tools to innovate and deliver exciting new products for a variety of markets."
THIRD PARTY QUOTES
Cadence
“The advent of the new ARM Cortex-A9 processor is a significant milestone in the world of embedded computing,” said Mike McAweeney, vice president, Product Marketing at Cadence. “We welcome the opportunity to continue our multi-year collaboration with ARM and will extend implementation and verification support for the new processor for the benefit of our mutual customers in the near future, just as we have for the complete range of current ARM processors.”
eSol
“The Cortex-A9 processor will bring a high-performance solution to leading edge consumer, car multimedia, networking and mobile devices”, said Masaki Gondo, Engineering Director and RTOS architect at eSOL. “In its eT-Kernel and eBinder products, eSOL already supports blended multiprocessing on ARM's ARM11 MPCore processor, and will be extending this support to the Cortex-A9 processors”.
Express Logic, Inc.
“The ARM Cortex-A9 adds another high-performance scalable processor to ARM's multiprocessing portfolio for consumer devices,” said William E Lamie, CEO and President, Express Logic, Inc. “Our ThreadX RTOS, which is deployed in over 500 million devices, continues to provide system designers with a cost-effective solution to harness compute power throughout the entire ARM processor range.”
Green Hills Software
“ARM's Cortex-A9 multicore, superscalar processor will deliver the high performance demanded by the users of today's consumer electronic devices,” said David Kleidermacher, CTO, Green Hills Software. “We are working closely with ARM to bring Green Hills INTEGRITY RTOS and Multi development tools to the Cortex family of processors to reduce time-to-market for system designers creating ARM processor-based devices.”
Lauterbach Datentechnik
“ARM's superscalar, out-of-order solution for high-end consumer devices will bring previously unattainable performance to the end-user”, said Stephan Lauterbach, General Manager, Lauterbach Datentechnik. “We have worked closely with ARM to ensure that we can offer developers our PowerDebug and PowerTrace development tools for use with ARM's CoreSight debug technology and Cortex-A9 multiprocessor, to meet their design needs.”
Magma
“Implementing a high-performance ARM® multicore processor such as the Cortex™-A9 requires a fully integrated RTL-to-GDSII implementation reference methodology (iRM) where power, performance and area are addressed through tight integration of optimization and analysis,” said Kam Kittrell, general manager and vice president of Magma’s® Design Implementation Business Unit. “ARM and Magma have partnered over the years in delivering advanced iRMs for several ARM processors at leading SoC manufacturers. With the Cortex-A9 processor release, ARM has truly pushed the envelope for multicore processing, signifying a key milestone in the world of embedded computing. The Cortex-A9’s unprecedented performance and power management, combined with Magma’s proven iRM expertise with the Cortex and ARM11™ MPCore™ processor families, provides our mutual customers a clear advantage as they bring the latest wireless, hand-held multimedia and automotive products to market. Magma’s commitment to supporting the Cortex-A9 is further highlighted by our co-development of the next-generation Talus® IC implementation platform-based iRM, which will provide fundamental design advances that drastically accelerate the design cycle.”
Mentor Graphics
“ARM's Cortex-A9 scalable multicore processor addresses the need for consumer devices operating at ever-increasing performance levels while minimizing power consumption,” said Neil Henderson, General Manager, Embedded Systems Division, Mentor Graphics.” “Mentor is working closely with ARM to ensure that Nucleus OS licensees can fully exploit the advantages of the ARM Cortex processor family, to help them bring their products to market more quickly.”
MontaVista Software
“Millions of mobile devices around the world today are powered by ARM and MontaVista,” commented Jim Ready, CTO and founder of MontaVista Software. “We support multiprocessor platforms today, and are committed to supporting ARMv7 and the Cortex series of intelligent processors. With MontaVista Linux, the Cortex-A9 will open the door to new possibilities for Linux-based phone handsets and other mobile devices.”
QNX Software Systems
“As a leading supplier of embedded operating systems and tools for multicore processors, QNX welcomes ARM's launch of its latest scalable multicore technology.” said Linda Campbell, director of strategic alliances, QNX Software Systems. “This announcement confirms ARM's leadership in bringing the value of multicore to the embedded market.”
Symbian
“Symbian OS and the ARM Cortex-A9 will enable the delivery of advanced, always-on multimedia use cases, while respecting the power and memory constraints of mobile devices,” said Jørgen Behrens, executive vice president, marketing, Symbian. “Symbian and ARM work in close collaboration to deliver high performance converged mobile devices and our shared common history has seen Symbian OS scale across all ARM processor architectures. The multiprocessor variants of Cortex-A9 will bring even greater performance and power efficiency to Symbian smartphones, through the use of Symmetric Multi-Processing (SMP).”
Synopsys
“Next-generation connected mobile devices require higher processing performance combined with ultra-low power consumption,” said John Chilton, senior VP, Marketing and Strategic Development at Synopsys. “To enable our mutual customers using the Cortex-A9 processor to address their design goals, Synopsys and ARM are collaborating to deploy the latest Synopsys Galaxy™ Design Platform implementation technologies, including Design Compiler® Ultra Topographical technology and IC Compiler place-and-route solution, together with the advanced power management techniques documented in the ARM-Synopsys authored “Low Power Methodology Manual.” By combining this with the multiprocessor implementation experience we gained together in creating our implementation Reference Methodology (iRM) for the ARM11 MPCore processor, the new ARM-Synopsys Cortex-A9 iRM will help provide the most predictable, lowest risk implementation solution for Cortex-A9 processor based SoCs.”
|
Arm Ltd Hot IP
Related News
- SMIC Adopts ARM Physical IP for Both Low-Power and High- Performance Designs at 90 Nanometer Technology Node
- Cadence Delivers Silicon-Ready Reference Methodologies for ARM Cortex-A9 Processor
- ARM Selected To Deliver Low-Power and High-Performance Libraries For IBM, Chartered and Samsung 45-Nanometer Common Platform Technology
- Toshiba Microelectronics Selects ARM OptimoDE Technology For High-Performance, Low-Power Data Engines
- CSR to combine high-performance, low-power Bluetooth reference designs with Freescale platforms
Breaking News
- Logic Design Solutions launches Gen4 NVMe host IP
- ULYSS1, Microcontroller (MCU) for Automotive market, designed by Cortus is available
- M31 is partnering with Taiwan Cooperative Bank to launch an Employee Stock Ownership Trust to strengthen talent retention
- Sondrel announces CEO transition to lead next phase of growth
- JEDEC Publishes LPDDR5 CAMM2 Connector Performance Standard
Most Popular
- Arm's power play will backfire
- Alphawave Semi Selected for AI Innovation Research Grant from UK Government's Advanced Research + Invention Agency
- Secure-IC obtains the first worldwide CAVP Certification of Post-Quantum Cryptography algorithms, tested by SERMA Safety & Security
- Weebit Nano continuing to make progress with potential customers and qualifying its technology Moving closer to finalisation of licensing agreements Q1 FY25 Quarterly Activities Report
- PUFsecurity Collaborate with Arm on PSA Certified RoT Component Level 3 Certification for its Crypto Coprocessor to Provide Robust Security Subsystem Essential for the AIoT era
E-mail This Article | Printer-Friendly Page |